Xillybus Array/FIFO Example

Questions and discussions about the Xillybus IP core and drivers

Xillybus Array/FIFO Example

Postby Guest »

Does anyone have any examples of Xillybus, or HLS in general, iterating through an array, or creating a FIFO out of the array? I'm having trouble with this task, and can't find a good reference where it's implemented.
Guest
 

Return to Xillybus