Vivado stuck on synthesis

Questions and discussions about the Xillybus IP core and drivers

Vivado stuck on synthesis

Postby Guest »

Hello,

I try to generate the bitstream file on Vivado 2022.2, and Vivado runs forever. I'm using Windows 11. Vivado's last message is

INFO: [Project 1-571] Translating synthesized netlist

What is the problem?
Guest
 

Re: Vivado stuck on synthesis

Postby support »

Hello,

It sounds like the problem is a Vivado bug, unfortunately. See these links:

https://support.xilinx.com/s/article/00 ... uage=en_US
https://support.xilinx.com/s/question/0 ... uage=en_US
https://support.xilinx.com/s/question/0 ... uage=en_US

In order to address this problem, Xillybus' IP core's netlist is now given in EDIF format. See more details on this page:

https://xillybus.com/doc/vivado-ngc-edif

Regards,
Eli
support
 
Posts: 802
Joined:


Return to Xillybus

cron