[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Ce

Questions and discussions about Xillinux

[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Ce

Postby pbalazs »

Hello,

I would like to install the Xillinux on my Zybo board. I have followed the instructions from doc http://xillybus.com/downloads/doc/xilly ... d_zynq.pdf.
Vivado version is 2016.4.
Project is generated successfully.
Synthesis is passed with some warnings.
When I start the implementation I got the error message,

[DRC 23-20] Rule violation (INBB-3) Black Box Instances - Cell 'xillybus_ins/system_i/vivado_system_i/xillybus_lite_0/U0' of type 'xillybus_ins/system_i/vivado_system_i/xillybus_lite_0/U0/xillybus_lite' has undefined contents and is considered a black box. The contents of this cell must be defined for opt_design to complete successfully.

Please let me know where I make a mistake.
Thank you.
pbalazs
 
Posts: 1
Joined:

Re: [DRC 23-20] Rule violation (INBB-3) Black Box Instances

Postby support »

Hello,

This seems to be a duplicate of this thread. I've therefore locked this one. If the discussion there doesn't help, please post there instead:

viewtopic.php?f=4&t=619

It seems like you moved the project after generating it, as the poster of the other thread did. I would otherwise suggest just trying again from unzipping the demo bundle. Xillinux has been used heavily with the Vivado version you have with no issues.

Regards,
Eli
support
 
Posts: 802
Joined:


Return to Xillinux (Linux distribution for Zynq-7000)